[svn] / trunk / xvidcore / src / dct / ia64_asm / idct_ia64.s Repository:
ViewVC logotype

Diff of /trunk/xvidcore/src/dct/ia64_asm/idct_ia64.s

Parent Directory Parent Directory | Revision Log Revision Log | View Patch Patch

revision 205, Fri Jun 14 08:26:04 2002 UTC revision 282, Wed Jul 10 14:26:02 2002 UTC
# Line 1  Line 1 
1          .file   "idct.c"  addreg1 = r14
2          .pred.safe_across_calls p1-p5,p16-p63  addreg2 = r15
3  .sbss  c0 = f32
4          .align 8  c1 = f33
5          .type    blk.0#,@object  c2 = f34
6          .size    blk.0#,8  c3 = f35
7  blk.0:  c4 = f36
8          .skip   8  c5 = f37
9          .align 8  c6 = f38
10          .type    i.1#,@object  c7 = f39
11          .size    i.1#,8  c8 = f40
12  i.1:  c9 = f41
13          .skip   8  c10 = f42
14          .align 8  c11 = f43
15          .type    X0.2#,@object  c12 = f44
16          .size    X0.2#,8  c13 = f45
17  X0.2:  c14 = f46
18          .skip   8  c15 = f47
19          .align 8  .sdata
         .type    X1.3#,@object  
         .size    X1.3#,8  
 X1.3:  
         .skip   8  
         .align 8  
         .type    X2.4#,@object  
         .size    X2.4#,8  
 X2.4:  
         .skip   8  
         .align 8  
         .type    X3.5#,@object  
         .size    X3.5#,8  
 X3.5:  
         .skip   8  
         .align 8  
         .type    X4.6#,@object  
         .size    X4.6#,8  
 X4.6:  
         .skip   8  
         .align 8  
         .type    X5.7#,@object  
         .size    X5.7#,8  
 X5.7:  
         .skip   8  
         .align 8  
         .type    X6.8#,@object  
         .size    X6.8#,8  
 X6.8:  
         .skip   8  
         .align 8  
         .type    X7.9#,@object  
         .size    X7.9#,8  
 X7.9:  
         .skip   8  
         .align 8  
         .type    X8.10#,@object  
         .size    X8.10#,8  
 X8.10:  
         .skip   8  
 .text  
20          .align 16          .align 16
21          .global idct_ia64#  .data_c0:
22          .proc idct_ia64#  .single 0.353553390593273730857504233427, 0.353553390593273730857504233427
23  idct_ia64:  .data_c1:
24          .prologue  .single -2.414213562373094923430016933708, -2.414213562373094923430016933708
25          alloc r16 = ar.pfs, 1, 4, 8, 0  .align 16
26          .body  .data_c2:
27          addl r14 = @gprel(i.1#), gp  .single -0.414213562373095034452319396223, -0.414213562373095034452319396223
28          addl r33 = @gprel(blk.0#), gp  .data_c3:
29          addl r11 = @gprel(X1.3#), gp  .single 0.198912367379658006072418174881, 0.198912367379658006072418174881
30          ;;  .align 16
31          st8 [r14] = r0  .data_c4:
32          mov r41 = r14  .single 5.027339492125848074977056967327, 5.027339492125848074977056967327
33          addl r10 = @gprel(X2.4#), gp  .data_c5:
34          addl r14 = 565, r0  .single 0.668178637919298878955487452913, 0.668178637919298878955487452913
35          addl r9 = @gprel(X3.5#), gp  .align 16
36          addl r8 = @gprel(X4.6#), gp  .data_c6:
37          ;;  .single 1.496605762665489169904731170391, 1.496605762665489169904731170391
38          setf.sig f14 = r14  .data_c7:
39          addl r44 = @gprel(X5.7#), gp  .single 0.461939766255643369241568052530, 0.461939766255643369241568052530
40          addl r43 = @gprel(X6.8#), gp  .align 16
41          addl r14 = 2276, r0  .data_c8:
42          addl r42 = @gprel(X7.9#), gp  .single 0.191341716182544890889616340246, 0.191341716182544890889616340246
43          addl r3 = @gprel(X0.2#), gp  .data_c9:
44          ;;  .single 0.847759065022573476966272210120, 0.847759065022573476966272210120
         setf.sig f15 = r14  
         addl r2 = @gprel(X8.10#), gp  
         addl r14 = 3406, r0  
         ;;  
         setf.sig f13 = r14  
         addl r14 = 2408, r0  
         ;;  
         setf.sig f12 = r14  
         addl r14 = 799, r0  
         ;;  
         setf.sig f11 = r14  
         addl r14 = 4017, r0  
         ;;  
         setf.sig f9 = r14  
         addl r14 = 1108, r0  
         ;;  
         setf.sig f10 = r14  
         addl r14 = 3784, r0  
         ;;  
         setf.sig f8 = r14  
         addl r14 = 181, r0  
         ;;  
         setf.sig f7 = r14  
 .L6:  
         ld8 r14 = [r41]  
         ;;  
         shladd r27 = r14, 4, r32  
         ;;  
         adds r40 = 12, r27  
         adds r39 = 8, r27  
         adds r31 = 2, r27  
         ;;  
         ld2 r15 = [r40]  
         ld2 r14 = [r39]  
         adds r38 = 4, r27  
         ;;  
         sxt2 r28 = r15  
         sxt2 r14 = r14  
         adds r37 = 14, r27  
         ld2 r15 = [r31]  
         ;;  
         shl r25 = r14, 11  
         ld2 r16 = [r38]  
         sxt2 r23 = r15  
         ;;  
         st8 [r11] = r25  
         sxt2 r20 = r16  
         ld2 r15 = [r37]  
         or r14 = r28, r25  
         adds r30 = 10, r27  
         ;;  
         sxt2 r22 = r15  
         st8 [r10] = r28  
         or r14 = r20, r14  
         ld2 r15 = [r30]  
         st8 [r9] = r20  
         ;;  
         or r14 = r23, r14  
         sxt2 r19 = r15  
         adds r29 = 6, r27  
         st8 [r8] = r23  
         ;;  
         or r14 = r22, r14  
         ld2 r15 = [r29]  
         st8 [r44] = r22  
         ;;  
         sxt2 r18 = r15  
         or r14 = r19, r14  
         st8 [r43] = r19  
         ;;  
         or r14 = r18, r14  
         st8 [r33] = r27  
         st8 [r42] = r18  
         ;;  
         cmp.ne p6, p7 = 0, r14  
         (p6) br.cond.dptk .L7  
         ld2 r14 = [r27]  
         ;;  
         shladd r14 = r14, 3, r0  
         ;;  
         st2 [r37] = r14  
         st2 [r40] = r14  
         st2 [r30] = r14  
         st2 [r39] = r14  
         st2 [r29] = r14  
         st2 [r38] = r14  
         st2 [r31] = r14  
         st2 [r27] = r14  
         br .L5  
 .L7:  
         add r21 = r19, r18  
         add r14 = r23, r22  
         ld2 r17 = [r27]  
         ;;  
         setf.sig f32 = r21  
         setf.sig f6 = r14  
         add r15 = r20, r28  
         ;;  
         xma.l f32 = f32, f12, f0  
         shladd r16 = r20, 1, r20  
         sxt2 r17 = r17  
         ;;  
         getf.sig r21 = f32  
         xma.l f6 = f6, f14, f0  
         shladd r16 = r16, 4, r20  
         setf.sig f32 = r22  
         ;;  
         getf.sig r14 = f6  
         dep.z r17 = r17, 11, 21  
         xma.l f32 = f32, f13, f0  
         ;;  
         adds r17 = 128, r17  
         shl r16 = r16, 5  
         getf.sig r22 = f32  
         ;;  
         sxt4 r17 = r17  
         setf.sig f32 = r19  
         sub r22 = r14, r22  
         ;;  
         add r24 = r17, r25  
         xma.l f32 = f32, f11, f0  
         sub r17 = r17, r25  
         ;;  
         getf.sig r19 = f32  
         setf.sig f32 = r18  
         ;;  
         sub r19 = r21, r19  
         xma.l f32 = f32, f9, f0  
         ;;  
         getf.sig r14 = f32  
         setf.sig f32 = r23  
         ;;  
         sub r21 = r21, r14  
         xma.l f32 = f32, f15, f6  
         ;;  
         sub r26 = r22, r21  
         getf.sig r23 = f32  
         setf.sig f6 = r15  
         add r22 = r22, r21  
         ;;  
         sub r18 = r23, r19  
         xma.l f6 = f6, f10, f0  
         setf.sig f32 = r28  
         ;;  
         getf.sig r15 = f6  
         add r20 = r18, r26  
         xma.l f32 = f32, f8, f0  
         ;;  
         setf.sig f6 = r20  
         add r16 = r15, r16  
         getf.sig r14 = f32  
         sub r18 = r18, r26  
         ;;  
         xma.l f6 = f6, f7, f0  
         add r23 = r23, r19  
         sub r15 = r15, r14  
         setf.sig f32 = r18  
         ;;  
         getf.sig r20 = f6  
         add r25 = r24, r16  
         add r19 = r17, r15  
         ;;  
         adds r20 = 128, r20  
         xma.l f32 = f32, f7, f0  
         sub r17 = r17, r15  
         ;;  
         shr r20 = r20, 8  
         getf.sig r18 = f32  
         add r14 = r25, r23  
         ;;  
         add r15 = r19, r20  
         shr r14 = r14, 8  
         adds r18 = 128, r18  
         st8 [r11] = r23  
         ;;  
         st2 [r27] = r14  
         shr r18 = r18, 8  
         shr r15 = r15, 8  
         ;;  
         st2 [r31] = r15  
         st8 [r43] = r22  
         sub r24 = r24, r16  
         add r14 = r17, r18  
         st8 [r44] = r26  
         ;;  
         add r15 = r24, r22  
         shr r14 = r14, 8  
         st8 [r42] = r25  
         ;;  
         shr r15 = r15, 8  
         st2 [r38] = r14  
         sub r16 = r24, r22  
         st8 [r2] = r24  
         ;;  
         st2 [r29] = r15  
         shr r16 = r16, 8  
         sub r14 = r17, r18  
         ;;  
         st2 [r39] = r16  
         st8 [r9] = r19  
         sub r15 = r19, r20  
         shr r14 = r14, 8  
         st8 [r3] = r17  
         sub r16 = r25, r23  
         ;;  
         st2 [r30] = r14  
         shr r15 = r15, 8  
         shr r16 = r16, 8  
         ;;  
         st2 [r40] = r15  
         st8 [r10] = r20  
         st2 [r37] = r16  
         st8 [r8] = r18  
 .L5:  
         ld8 r14 = [r41]  
         ;;  
         adds r14 = 1, r14  
         ;;  
         st8 [r41] = r14  
         cmp.ge p6, p7 = 7, r14  
         (p6) br.cond.dptk .L6  
         addl r14 = @gprel(i.1#), gp  
         addl r36 = @gprel(X8.10#), gp  
         addl r35 = @gprel(blk.0#), gp  
         ;;  
         st8 [r14] = r0  
         mov r42 = r14  
         addl r33 = @gprel(X1.3#), gp  
         addl r14 = 565, r0  
         addl r3 = @gprel(X2.4#), gp  
         addl r11 = @gprel(X3.5#), gp  
         ;;  
         setf.sig f14 = r14  
         addl r10 = @gprel(X4.6#), gp  
         addl r9 = @gprel(X5.7#), gp  
         addl r14 = 2276, r0  
         addl r8 = @gprel(X6.8#), gp  
         addl r44 = @gprel(X7.9#), gp  
         ;;  
         setf.sig f12 = r14  
         addl r43 = @gprel(iclp_ia64#), gp  
         addl r34 = @gprel(X0.2#), gp  
         addl r14 = 3406, r0  
         ;;  
         setf.sig f13 = r14  
         addl r14 = 2408, r0  
         ;;  
         setf.sig f10 = r14  
         addl r14 = 799, r0  
         ;;  
         setf.sig f11 = r14  
         addl r14 = 4017, r0  
         ;;  
         setf.sig f8 = r14  
         addl r14 = 1108, r0  
         ;;  
         setf.sig f9 = r14  
         addl r14 = 3784, r0  
         ;;  
         setf.sig f7 = r14  
         addl r14 = 181, r0  
         ;;  
         setf.sig f6 = r14  
 .L12:  
         ld8 r14 = [r42]  
         ;;  
         shladd r29 = r14, 1, r32  
         ;;  
         adds r41 = 96, r29  
         adds r39 = 64, r29  
         adds r31 = 16, r29  
         ;;  
         ld2 r15 = [r41]  
         ld2 r14 = [r39]  
         adds r37 = 32, r29  
         ;;  
         sxt2 r25 = r15  
         sxt2 r14 = r14  
         adds r40 = 112, r29  
         ld2 r15 = [r31]  
         ;;  
         shl r26 = r14, 8  
         ld2 r16 = [r37]  
         sxt2 r23 = r15  
         ;;  
         st8 [r33] = r26  
         sxt2 r16 = r16  
         ld2 r15 = [r40]  
         or r14 = r25, r26  
         adds r38 = 80, r29  
         ;;  
         sxt2 r24 = r15  
         st8 [r3] = r25  
         or r14 = r16, r14  
         ld2 r15 = [r38]  
         st8 [r11] = r16  
         ;;  
         or r14 = r23, r14  
         sxt2 r22 = r15  
         adds r30 = 48, r29  
         st8 [r10] = r23  
         ;;  
         or r14 = r24, r14  
         ld2 r15 = [r30]  
         st8 [r9] = r24  
         ;;  
         sxt2 r20 = r15  
         or r14 = r22, r14  
         st8 [r8] = r22  
         ;;  
         or r14 = r20, r14  
         st8 [r35] = r29  
         st8 [r44] = r20  
         ;;  
         cmp.ne p6, p7 = 0, r14  
         (p6) br.cond.dptk .L13  
         ld2 r14 = [r29]  
         ld8 r16 = [r43]  
         ;;  
         sxt2 r14 = r14  
         ;;  
         adds r14 = 32, r14  
         ;;  
         extr r14 = r14, 6, 26  
         ;;  
         shladd r14 = r14, 1, r16  
         ;;  
         ld2 r15 = [r14]  
         ;;  
         st2 [r40] = r15  
         st2 [r41] = r15  
         st2 [r38] = r15  
         st2 [r39] = r15  
         st2 [r30] = r15  
         st2 [r37] = r15  
         st2 [r31] = r15  
         st2 [r29] = r15  
         br .L11  
 .L13:  
         add r19 = r23, r24  
         add r21 = r22, r20  
         add r17 = r16, r25  
         ;;  
         setf.sig f15 = r19  
         setf.sig f32 = r21  
         ld2 r2 = [r29]  
         ;;  
         xma.l f15 = f15, f14, f0  
         shladd r18 = r16, 1, r16  
         sxt2 r2 = r2  
         ;;  
         getf.sig r19 = f15  
         xma.l f32 = f32, f10, f0  
         shladd r18 = r18, 4, r16  
         setf.sig f15 = r24  
         ;;  
         getf.sig r21 = f32  
         adds r19 = 4, r19  
         xma.l f15 = f15, f13, f0  
         setf.sig f32 = r22  
         ;;  
         adds r21 = 4, r21  
         getf.sig r24 = f15  
         xma.l f32 = f32, f11, f0  
         dep.z r2 = r2, 8, 24  
         setf.sig f15 = r20  
         ;;  
         getf.sig r15 = f32  
         sub r24 = r19, r24  
         xma.l f15 = f15, f8, f0  
         setf.sig f32 = r23  
         ;;  
         sub r15 = r21, r15  
         getf.sig r14 = f15  
         ;;  
         shr r15 = r15, 3  
         shr r24 = r24, 3  
         setf.sig f15 = r19  
         sub r21 = r21, r14  
         addl r2 = 8192, r2  
         ;;  
         xma.l f32 = f32, f12, f15  
         shr r21 = r21, 3  
         shl r18 = r18, 5  
         ;;  
         getf.sig r19 = f32  
         sub r28 = r24, r21  
         setf.sig f15 = r25  
         setf.sig f32 = r17  
         ;;  
         shr r19 = r19, 3  
         sxt4 r2 = r2  
         xma.l f32 = f32, f9, f0  
         ;;  
         sub r22 = r19, r15  
         add r25 = r2, r26  
         getf.sig r17 = f32  
         ;;  
         add r23 = r22, r28  
         xma.l f15 = f15, f7, f0  
         adds r17 = 4, r17  
         ;;  
         setf.sig f32 = r23  
         getf.sig r14 = f15  
         add r18 = r17, r18  
         sub r22 = r22, r28  
         ;;  
         xma.l f32 = f32, f6, f0  
         shr r18 = r18, 3  
         add r19 = r19, r15  
         sub r17 = r17, r14  
         ;;  
         add r27 = r25, r18  
         setf.sig f15 = r22  
         getf.sig r23 = f32  
         shr r17 = r17, 3  
         sub r2 = r2, r26  
         ;;  
         adds r23 = 128, r23  
         add r15 = r27, r19  
         xma.l f15 = f15, f6, f0  
         ld8 r20 = [r43]  
         add r26 = r2, r17  
         ;;  
         shr r23 = r23, 8  
         getf.sig r22 = f15  
         shr r15 = r15, 14  
         ;;  
         adds r22 = 128, r22  
         add r14 = r26, r23  
         shladd r15 = r15, 1, r20  
         sub r2 = r2, r17  
         ;;  
         shr r22 = r22, 8  
         ld2 r16 = [r15]  
         shr r14 = r14, 14  
         sub r25 = r25, r18  
         ;;  
         st2 [r29] = r16  
         shladd r14 = r14, 1, r20  
         add r15 = r2, r22  
         ;;  
         ld2 r16 = [r14]  
         add r24 = r24, r21  
         shr r15 = r15, 14  
         ;;  
         st2 [r31] = r16  
         shladd r15 = r15, 1, r20  
         add r14 = r25, r24  
         ;;  
         ld2 r16 = [r15]  
         shr r14 = r14, 14  
         st8 [r33] = r19  
         ;;  
         st2 [r37] = r16  
         shladd r14 = r14, 1, r20  
         sub r15 = r25, r24  
         ;;  
         ld2 r17 = [r14]  
         shr r15 = r15, 14  
         sub r16 = r2, r22  
         ;;  
         st2 [r30] = r17  
         shladd r15 = r15, 1, r20  
         st8 [r8] = r24  
         ;;  
         ld2 r17 = [r15]  
         shr r16 = r16, 14  
         st8 [r9] = r28  
         ;;  
         st2 [r39] = r17  
         sub r14 = r26, r23  
         shladd r16 = r16, 1, r20  
         st8 [r44] = r27  
         ;;  
         ld2 r15 = [r16]  
         shr r14 = r14, 14  
         ;;  
         st2 [r38] = r15  
         st8 [r36] = r25  
         shladd r14 = r14, 1, r20  
         sub r18 = r27, r19  
         ;;  
         ld2 r15 = [r14]  
         st8 [r11] = r26  
         shr r18 = r18, 14  
         ;;  
         st2 [r41] = r15  
         st8 [r34] = r2  
         shladd r18 = r18, 1, r20  
         st8 [r3] = r23  
         ;;  
         ld2 r14 = [r18]  
         st8 [r10] = r22  
         ;;  
         st2 [r40] = r14  
 .L11:  
         ld8 r14 = [r42]  
         ;;  
         adds r14 = 1, r14  
         ;;  
         st8 [r42] = r14  
         cmp.ge p6, p7 = 7, r14  
         (p6) br.cond.dptk .L12  
         br.ret.sptk.many b0  
         .endp idct_ia64#  
45          .align 16          .align 16
46          .global idct_ia64_init#  .data_c10:
47          .proc idct_ia64_init#  .single 2.847759065022573476966272210120, 2.847759065022573476966272210120
48    .data_c11:
49    .single 5.027339492125848074977056967327, 5.027339492125848074977056967327
50    .align 16
51    .data_c12:
52    .single 0.490392640201615215289621119155, 0.490392640201615215289621119155
53    .data_c13:
54    .single 0.068974844820735750627882509889, 0.068974844820735750627882509889
55    .align 16
56    .data_c14:
57    .single 0.097545161008064124041894160655, 0.097545161008064124041894160655
58    .data_c15:
59    .single 1.000000000000000000000000000000, 1.000000000000000000000000000000
60    
61    .text
62    .global idct_ia64
63    .global idct_ia64_init
64    .align 16
65    .proc idct_ia64_init
66  idct_ia64_init:  idct_ia64_init:
67          .prologue  br.ret.sptk.few b0
68          addl r14 = @ltoff(iclip_ia64#), gp  .endp
69          .save ar.lc, r2  .align 16
70          mov r2 = ar.lc  .proc idct_ia64
71          .body  idct_ia64:
72          addl r15 = @gprel(iclp_ia64#), gp  
73          ;;          addreg3 = r20
74          ld8 r14 = [r14]          addreg4 = r21
75          addl r16 = 255, r0          addreg5 = r22
76          addl r17 = -512, r0          addreg6 = r23
77          ;;  
78          adds r14 = 1024, r14          one = f30
79          addl r19 = -256, r0          alloc   r16 = ar.pfs, 1, 71, 0, 0
80          addl r18 = 255, r0          addl    addreg1 = @gprel(.data_c0#), gp
81          mov ar.lc = r16          addl    addreg2 = @gprel(.data_c2#), gp
82          ;;          ;;
83          st8 [r15] = r14          add     addreg3 = 32, addreg1
84          mov r20 = r14          add     addreg4 = 32, addreg2
85  .L42:          add     addreg5 = 64, addreg1
86          sxt4 r14 = r17          add     addreg6 = 64, addreg2
87          cmp4.gt p6, p7 = r19, r17          ;;
88          ;;          ldfp8   c0, c1 = [addreg1]
89          shladd r15 = r14, 1, r20          ldfp8   c2, c3 = [addreg2]
90          ;;          ;;
91          (p6) st2 [r15] = r19          ldfp8   c4, c5 = [addreg3], 16
92          (p6) br.cond.dptk .L26          ldfp8   c6, c7 = [addreg4], 16
93          cmp4.le p6, p7 = r18, r17          add     addreg1 = 96, addreg1
94          ;;          add     addreg2 = 96, addreg2
95          (p6) mov r14 = r18          ;;
96          (p7) mov r14 = r17          ldfp8   c8, c9 = [addreg5], 16
97          ;;          ldfp8   c10, c11 = [addreg6], 16
98          st2 [r15] = r14          ;;
99  .L26:          ldfp8   c12, c13 = [addreg1]
100          adds r15 = 1, r17          ldfp8   c14, c15 = [addreg2]
101          ;;          ;;
102          sxt4 r14 = r15          mov     addreg1 = in0
103          cmp4.gt p6, p7 = r19, r15          fpack   one = f1, f1
104          ;;          add     addreg2 = 2, in0
105          shladd r16 = r14, 1, r20          ;;
106          ;;  
107          (p6) st2 [r16] = r19          ld2  r33 = [addreg1], 4
108          (p6) br.cond.dptk .L30          ld2  r34 = [addreg2], 4
109          cmp4.le p6, p7 = r18, r15          ;;
110          ;;          ld2  r35 = [addreg1], 4
111          (p6) mov r14 = r18          ld2  r36 = [addreg2], 4
112          (p7) mov r14 = r15          ;;
113          ;;          ld2  r37 = [addreg1], 4
114          st2 [r16] = r14          ld2  r38 = [addreg2], 4
115  .L30:          ;;
116          adds r15 = 2, r17          ld2  r39 = [addreg1], 4
117          ;;          ld2  r40 = [addreg2], 4
118          sxt4 r14 = r15          ;;
119          cmp4.gt p6, p7 = r19, r15          ld2  r41 = [addreg1], 4
120          ;;          ld2  r42 = [addreg2], 4
121          shladd r16 = r14, 1, r20          ;;
122          ;;          ld2  r43 = [addreg1], 4
123          (p6) st2 [r16] = r19          ld2  r44 = [addreg2], 4
124          (p6) br.cond.dptk .L34          ;;
125          cmp4.le p6, p7 = r18, r15          ld2  r45 = [addreg1], 4
126          ;;          ld2  r46 = [addreg2], 4
127          (p6) mov r14 = r18          ;;
128          (p7) mov r14 = r15          ld2  r47 = [addreg1], 4
129          ;;          ld2  r48 = [addreg2], 4
130          st2 [r16] = r14          ;;
131  .L34:          ld2  r49 = [addreg1], 4
132          adds r15 = 3, r17          ld2  r50 = [addreg2], 4
133          ;;          ;;
134          sxt4 r14 = r15          ld2  r51 = [addreg1], 4
135          cmp4.gt p6, p7 = r19, r15          ld2  r52 = [addreg2], 4
136          ;;          ;;
137          shladd r16 = r14, 1, r20          ld2  r53 = [addreg1], 4
138          ;;          ld2  r54 = [addreg2], 4
139          (p6) st2 [r16] = r19          ;;
140          (p6) br.cond.dptk .L38          ld2  r55 = [addreg1], 4
141          cmp4.le p6, p7 = r18, r15          ld2  r56 = [addreg2], 4
142          ;;          ;;
143          (p6) mov r14 = r18          ld2  r57 = [addreg1], 4
144          (p7) mov r14 = r15          ld2  r58 = [addreg2], 4
145          ;;          ;;
146          st2 [r16] = r14          ld2  r59 = [addreg1], 4
147  .L38:          ld2  r60 = [addreg2], 4
148          adds r17 = 4, r17          ;;
149          br.cloop.sptk.few .L42          ld2  r61 = [addreg1], 4
150          ;;          ld2  r62 = [addreg2], 4
151          mov ar.lc = r2          ;;
152          br.ret.sptk.many b0          ld2  r63 = [addreg1], 4
153          .endp idct_ia64_init#          ld2  r64 = [addreg2], 4
154          .common idct#,8,8          ;;
155  .bss          ld2  r65 = [addreg1], 4
156          .align 2          ld2  r66 = [addreg2], 4
157          .type    iclip_ia64#,@object          ;;
158          .size    iclip_ia64#,2048          ld2  r67 = [addreg1], 4
159  iclip_ia64:          ld2  r68 = [addreg2], 4
160          .skip   2048          ;;
161  .sbss          ld2  r69 = [addreg1], 4
162          .align 8          ld2  r70 = [addreg2], 4
163          .type    iclp_ia64#,@object          ;;
164          .size    iclp_ia64#,8          ld2  r71 = [addreg1], 4
165  iclp_ia64:          ld2  r72 = [addreg2], 4
166          .skip   8          ;;
167          .ident  "GCC: (GNU) 2.96 20000731 (Red Hat Linux 7.1 2.96-85)"          ld2  r73 = [addreg1], 4
168            ld2  r74 = [addreg2], 4
169            ;;
170            ld2  r75 = [addreg1], 4
171            ld2  r76 = [addreg2], 4
172            ;;
173            ld2  r77 = [addreg1], 4
174            ld2  r78 = [addreg2], 4
175            ;;
176            ld2  r79 = [addreg1], 4
177            ld2  r80 = [addreg2], 4
178            ;;
179            ld2  r81 = [addreg1], 4
180            ld2  r82 = [addreg2], 4
181            ;;
182            ld2  r83 = [addreg1], 4
183            ld2  r84 = [addreg2], 4
184            ;;
185            ld2  r85 = [addreg1], 4
186            ld2  r86 = [addreg2], 4
187            ;;
188            ld2  r87 = [addreg1], 4
189            ld2  r88 = [addreg2], 4
190            ;;
191            ld2  r89 = [addreg1], 4
192            ld2  r90 = [addreg2], 4
193            ;;
194            ld2  r91 = [addreg1], 4
195            ld2  r92 = [addreg2], 4
196            ;;
197            ld2  r93 = [addreg1], 4
198            ld2  r94 = [addreg2], 4
199            ;;
200            ld2  r95 = [addreg1], 4
201            ld2  r96 = [addreg2], 4
202            ;;
203            sxt2  r33 = r33
204            sxt2  r34 = r34
205            sxt2  r35 = r35
206            sxt2  r36 = r36
207            sxt2  r37 = r37
208            sxt2  r38 = r38
209            sxt2  r39 = r39
210            sxt2  r40 = r40
211            sxt2  r41 = r41
212            sxt2  r42 = r42
213            sxt2  r43 = r43
214            sxt2  r44 = r44
215            sxt2  r45 = r45
216            sxt2  r46 = r46
217            sxt2  r47 = r47
218            sxt2  r48 = r48
219            sxt2  r49 = r49
220            sxt2  r50 = r50
221            sxt2  r51 = r51
222            sxt2  r52 = r52
223            sxt2  r53 = r53
224            sxt2  r54 = r54
225            sxt2  r55 = r55
226            sxt2  r56 = r56
227            sxt2  r57 = r57
228            sxt2  r58 = r58
229            sxt2  r59 = r59
230            sxt2  r60 = r60
231            sxt2  r61 = r61
232            sxt2  r62 = r62
233            sxt2  r63 = r63
234            sxt2  r64 = r64
235            sxt2  r65 = r65
236            sxt2  r66 = r66
237            sxt2  r67 = r67
238            sxt2  r68 = r68
239            sxt2  r69 = r69
240            sxt2  r70 = r70
241            sxt2  r71 = r71
242            sxt2  r72 = r72
243            sxt2  r73 = r73
244            sxt2  r74 = r74
245            sxt2  r75 = r75
246            sxt2  r76 = r76
247            sxt2  r77 = r77
248            sxt2  r78 = r78
249            sxt2  r79 = r79
250            sxt2  r80 = r80
251            sxt2  r81 = r81
252            sxt2  r82 = r82
253            sxt2  r83 = r83
254            sxt2  r84 = r84
255            sxt2  r85 = r85
256            sxt2  r86 = r86
257            sxt2  r87 = r87
258            sxt2  r88 = r88
259            sxt2  r89 = r89
260            sxt2  r90 = r90
261            sxt2  r91 = r91
262            sxt2  r92 = r92
263            sxt2  r93 = r93
264            sxt2  r94 = r94
265            sxt2  r95 = r95
266            sxt2  r96 = r96
267            ;;
268            setf.sig  f48 = r33
269            setf.sig  f49 = r34
270            setf.sig  f50 = r35
271            setf.sig  f51 = r36
272            setf.sig  f52 = r37
273            setf.sig  f53 = r38
274            setf.sig  f54 = r39
275            setf.sig  f55 = r40
276            setf.sig  f56 = r41
277            setf.sig  f57 = r42
278            setf.sig  f58 = r43
279            setf.sig  f59 = r44
280            setf.sig  f60 = r45
281            setf.sig  f61 = r46
282            setf.sig  f62 = r47
283            setf.sig  f63 = r48
284            setf.sig  f64 = r49
285            setf.sig  f65 = r50
286            setf.sig  f66 = r51
287            setf.sig  f67 = r52
288            setf.sig  f68 = r53
289            setf.sig  f69 = r54
290            setf.sig  f70 = r55
291            setf.sig  f71 = r56
292            setf.sig  f72 = r57
293            setf.sig  f73 = r58
294            setf.sig  f74 = r59
295            setf.sig  f75 = r60
296            setf.sig  f76 = r61
297            setf.sig  f77 = r62
298            setf.sig  f78 = r63
299            setf.sig  f79 = r64
300            setf.sig  f80 = r65
301            setf.sig  f81 = r66
302            setf.sig  f82 = r67
303            setf.sig  f83 = r68
304            setf.sig  f84 = r69
305            setf.sig  f85 = r70
306            setf.sig  f86 = r71
307            setf.sig  f87 = r72
308            setf.sig  f88 = r73
309            setf.sig  f89 = r74
310            setf.sig  f90 = r75
311            setf.sig  f91 = r76
312            setf.sig  f92 = r77
313            setf.sig  f93 = r78
314            setf.sig  f94 = r79
315            setf.sig  f95 = r80
316            setf.sig  f96 = r81
317            setf.sig  f97 = r82
318            setf.sig  f98 = r83
319            setf.sig  f99 = r84
320            setf.sig  f100 = r85
321            setf.sig  f101 = r86
322            setf.sig  f102 = r87
323            setf.sig  f103 = r88
324            setf.sig  f104 = r89
325            setf.sig  f105 = r90
326            setf.sig  f106 = r91
327            setf.sig  f107 = r92
328            setf.sig  f108 = r93
329            setf.sig  f109 = r94
330            setf.sig  f110 = r95
331            setf.sig  f111 = r96
332            ;;
333            fcvt.xf  f48 = f48
334            fcvt.xf  f49 = f49
335            fcvt.xf  f50 = f50
336            fcvt.xf  f51 = f51
337            fcvt.xf  f52 = f52
338            fcvt.xf  f53 = f53
339            fcvt.xf  f54 = f54
340            fcvt.xf  f55 = f55
341            fcvt.xf  f56 = f56
342            fcvt.xf  f57 = f57
343            fcvt.xf  f58 = f58
344            fcvt.xf  f59 = f59
345            fcvt.xf  f60 = f60
346            fcvt.xf  f61 = f61
347            fcvt.xf  f62 = f62
348            fcvt.xf  f63 = f63
349            fcvt.xf  f64 = f64
350            fcvt.xf  f65 = f65
351            fcvt.xf  f66 = f66
352            fcvt.xf  f67 = f67
353            fcvt.xf  f68 = f68
354            fcvt.xf  f69 = f69
355            fcvt.xf  f70 = f70
356            fcvt.xf  f71 = f71
357            fcvt.xf  f72 = f72
358            fcvt.xf  f73 = f73
359            fcvt.xf  f74 = f74
360            fcvt.xf  f75 = f75
361            fcvt.xf  f76 = f76
362            fcvt.xf  f77 = f77
363            fcvt.xf  f78 = f78
364            fcvt.xf  f79 = f79
365            fcvt.xf  f80 = f80
366            fcvt.xf  f81 = f81
367            fcvt.xf  f82 = f82
368            fcvt.xf  f83 = f83
369            fcvt.xf  f84 = f84
370            fcvt.xf  f85 = f85
371            fcvt.xf  f86 = f86
372            fcvt.xf  f87 = f87
373            fcvt.xf  f88 = f88
374            fcvt.xf  f89 = f89
375            fcvt.xf  f90 = f90
376            fcvt.xf  f91 = f91
377            fcvt.xf  f92 = f92
378            fcvt.xf  f93 = f93
379            fcvt.xf  f94 = f94
380            fcvt.xf  f95 = f95
381            fcvt.xf  f96 = f96
382            fcvt.xf  f97 = f97
383            fcvt.xf  f98 = f98
384            fcvt.xf  f99 = f99
385            fcvt.xf  f100 = f100
386            fcvt.xf  f101 = f101
387            fcvt.xf  f102 = f102
388            fcvt.xf  f103 = f103
389            fcvt.xf  f104 = f104
390            fcvt.xf  f105 = f105
391            fcvt.xf  f106 = f106
392            fcvt.xf  f107 = f107
393            fcvt.xf  f108 = f108
394            fcvt.xf  f109 = f109
395            fcvt.xf  f110 = f110
396            fcvt.xf  f111 = f111
397            ;;
398            fpack    f48 = f48, f49
399            ;;
400            fpack    f49 = f50, f51
401            ;;
402            fpack    f50 = f52, f53
403            ;;
404            fpack    f51 = f54, f55
405            ;;
406            fpack    f52 = f56, f57
407            ;;
408            fpack    f53 = f58, f59
409            ;;
410            fpack    f54 = f60, f61
411            ;;
412            fpack    f55 = f62, f63
413            ;;
414            fpack    f56 = f64, f65
415            ;;
416            fpack    f57 = f66, f67
417            ;;
418            fpack    f58 = f68, f69
419            ;;
420            fpack    f59 = f70, f71
421            ;;
422            fpack    f60 = f72, f73
423            ;;
424            fpack    f61 = f74, f75
425            ;;
426            fpack    f62 = f76, f77
427            ;;
428            fpack    f63 = f78, f79
429            ;;
430            fpack    f64 = f80, f81
431            ;;
432            fpack    f65 = f82, f83
433            ;;
434            fpack    f66 = f84, f85
435            ;;
436            fpack    f67 = f86, f87
437            ;;
438            fpack    f68 = f88, f89
439            ;;
440            fpack    f69 = f90, f91
441            ;;
442            fpack    f70 = f92, f93
443            ;;
444            fpack    f71 = f94, f95
445            ;;
446            fpack    f72 = f96, f97
447            ;;
448            fpack    f73 = f98, f99
449            ;;
450            fpack    f74 = f100, f101
451            ;;
452            fpack    f75 = f102, f103
453            ;;
454            fpack    f76 = f104, f105
455            ;;
456            fpack    f77 = f106, f107
457            ;;
458            fpack    f78 = f108, f109
459            ;;
460            fpack    f79 = f110, f111
461            ;;
462            fpma    f48 = f48, c0, f0
463            fpma    f49 = f49, c0, f0
464            fpma    f50 = f50, c0, f0
465            fpma    f51 = f51, c0, f0
466            ;;
467    
468            // before pre shuffle
469            //  48 49 50 51
470            //  52 53 54 55
471            //  56 57 58 59
472            //  60 61 62 63
473            //  64 65 66 67
474            //  68 69 70 71
475            //  72 73 74 75
476            //  76 77 78 79
477    
478            // after pre shuffle
479            //  48 49 50 51
480            //  64 53 54 55
481            //  56 57 58 59
482            //  72 61 62 63
483            //  52 65 66 67
484            //  76 69 70 71
485            //  60 73 74 75
486            //  68 77 78 79
487            // (f80, f64) = (f48, f64) $ (c0, c0), (line 0, 1)
488            fpma    f80 = f64, c0, f48
489            fpnma   f64 = f64, c0, f48
490            ;;
491            // (f48, f72) = (f56, f72) $ (c1, c2), (line 2, 3)
492            fpma    f48 = f72, c1, f56
493            fpnma   f72 = f72, c2, f56
494            ;;
495            // (f56, f76) = (f52, f76) $ (c3, c4), (line 4, 5)
496            fpma    f56 = f76, c3, f52
497            fpnma   f76 = f76, c4, f52
498            ;;
499            // (f52, f68) = (f60, f68) $ (c5, c6), (line 6, 7)
500            fpma    f52 = f68, c5, f60
501            fpnma   f68 = f68, c6, f60
502            ;;
503            ;;
504            // (f60, f72) = (f80, f72) $ (c7, c7), (line 0, 3)
505            fpma    f60 = f72, c7, f80
506            fpnma   f72 = f72, c7, f80
507            ;;
508            // (f80, f48) = (f64, f48) $ (c8, c8), (line 1, 2)
509            fpma    f80 = f48, c8, f64
510            fpnma   f48 = f48, c8, f64
511            ;;
512            // (f64, f52) = (f56, f52) $ (c9, c9), (line 4, 6)
513            fpma    f64 = f52, c9, f56
514            fpnma   f52 = f52, c9, f56
515            ;;
516            // (f56, f68) = (f76, f68) $ (c10, c10), (line 5, 7)
517            fpma    f56 = f68, c10, f76
518            fpnma   f68 = f68, c10, f76
519            ;;
520            ;;
521            // (f76, f52) = (f56, f52) $ (c11, c11), (line 5, 6)
522            fpma    f76 = f52, c11, f56
523            fpnma   f52 = f52, c11, f56
524            ;;
525            // (f56, f64) = (f60, f64) $ (c12, c12), (line 0, 4)
526            fpma    f56 = f64, c12, f60
527            fpnma   f64 = f64, c12, f60
528            ;;
529            // (f60, f68) = (f72, f68) $ (c14, c14), (line 3, 7)
530            fpma    f60 = f68, c14, f72
531            fpnma   f68 = f68, c14, f72
532            ;;
533            ;;
534            // (f72, f76) = (f80, f76) $ (c13, c13), (line 1, 5)
535            fpma    f72 = f76, c13, f80
536            fpnma   f76 = f76, c13, f80
537            ;;
538            // (f80, f52) = (f48, f52) $ (c13, c13), (line 2, 6)
539            fpma    f80 = f52, c13, f48
540            fpnma   f52 = f52, c13, f48
541            ;;
542    
543            // before post shuffle
544            //  56 49 50 51
545            //  72 53 54 55
546            //  80 57 58 59
547            //  60 61 62 63
548            //  64 65 66 67
549            //  76 69 70 71
550            //  52 73 74 75
551            //  68 77 78 79
552    
553            // after post shuffle
554            //  56 49 50 51
555            //  72 53 54 55
556            //  52 57 58 59
557            //  60 61 62 63
558            //  68 65 66 67
559            //  80 69 70 71
560            //  76 73 74 75
561            //  64 77 78 79
562    
563            // before pre shuffle
564            //  56 49 50 51
565            //  72 53 54 55
566            //  52 57 58 59
567            //  60 61 62 63
568            //  68 65 66 67
569            //  80 69 70 71
570            //  76 73 74 75
571            //  64 77 78 79
572    
573            // after pre shuffle
574            //  56 49 50 51
575            //  72 65 54 55
576            //  52 57 58 59
577            //  60 73 62 63
578            //  68 53 66 67
579            //  80 77 70 71
580            //  76 61 74 75
581            //  64 69 78 79
582            // (f48, f65) = (f49, f65) $ (c0, c0), (line 0, 1)
583            fpma    f48 = f65, c0, f49
584            fpnma   f65 = f65, c0, f49
585            ;;
586            // (f49, f73) = (f57, f73) $ (c1, c2), (line 2, 3)
587            fpma    f49 = f73, c1, f57
588            fpnma   f73 = f73, c2, f57
589            ;;
590            // (f57, f77) = (f53, f77) $ (c3, c4), (line 4, 5)
591            fpma    f57 = f77, c3, f53
592            fpnma   f77 = f77, c4, f53
593            ;;
594            // (f53, f69) = (f61, f69) $ (c5, c6), (line 6, 7)
595            fpma    f53 = f69, c5, f61
596            fpnma   f69 = f69, c6, f61
597            ;;
598            ;;
599            // (f61, f73) = (f48, f73) $ (c7, c7), (line 0, 3)
600            fpma    f61 = f73, c7, f48
601            fpnma   f73 = f73, c7, f48
602            ;;
603            // (f48, f49) = (f65, f49) $ (c8, c8), (line 1, 2)
604            fpma    f48 = f49, c8, f65
605            fpnma   f49 = f49, c8, f65
606            ;;
607            // (f65, f53) = (f57, f53) $ (c9, c9), (line 4, 6)
608            fpma    f65 = f53, c9, f57
609            fpnma   f53 = f53, c9, f57
610            ;;
611            // (f57, f69) = (f77, f69) $ (c10, c10), (line 5, 7)
612            fpma    f57 = f69, c10, f77
613            fpnma   f69 = f69, c10, f77
614            ;;
615            ;;
616            // (f77, f53) = (f57, f53) $ (c11, c11), (line 5, 6)
617            fpma    f77 = f53, c11, f57
618            fpnma   f53 = f53, c11, f57
619            ;;
620            // (f57, f65) = (f61, f65) $ (c12, c12), (line 0, 4)
621            fpma    f57 = f65, c12, f61
622            fpnma   f65 = f65, c12, f61
623            ;;
624            // (f61, f69) = (f73, f69) $ (c14, c14), (line 3, 7)
625            fpma    f61 = f69, c14, f73
626            fpnma   f69 = f69, c14, f73
627            ;;
628            ;;
629            // (f73, f77) = (f48, f77) $ (c13, c13), (line 1, 5)
630            fpma    f73 = f77, c13, f48
631            fpnma   f77 = f77, c13, f48
632            ;;
633            // (f48, f53) = (f49, f53) $ (c13, c13), (line 2, 6)
634            fpma    f48 = f53, c13, f49
635            fpnma   f53 = f53, c13, f49
636            ;;
637    
638            // before post shuffle
639            //  56 57 50 51
640            //  72 73 54 55
641            //  52 48 58 59
642            //  60 61 62 63
643            //  68 65 66 67
644            //  80 77 70 71
645            //  76 53 74 75
646            //  64 69 78 79
647    
648            // after post shuffle
649            //  56 57 50 51
650            //  72 73 54 55
651            //  52 53 58 59
652            //  60 61 62 63
653            //  68 69 66 67
654            //  80 48 70 71
655            //  76 77 74 75
656            //  64 65 78 79
657    
658            // before pre shuffle
659            //  56 57 50 51
660            //  72 73 54 55
661            //  52 53 58 59
662            //  60 61 62 63
663            //  68 69 66 67
664            //  80 48 70 71
665            //  76 77 74 75
666            //  64 65 78 79
667    
668            // after pre shuffle
669            //  56 57 50 51
670            //  72 73 66 55
671            //  52 53 58 59
672            //  60 61 74 63
673            //  68 69 54 67
674            //  80 48 78 71
675            //  76 77 62 75
676            //  64 65 70 79
677            // (f49, f66) = (f50, f66) $ (c0, c0), (line 0, 1)
678            fpma    f49 = f66, c0, f50
679            fpnma   f66 = f66, c0, f50
680            ;;
681            // (f50, f74) = (f58, f74) $ (c1, c2), (line 2, 3)
682            fpma    f50 = f74, c1, f58
683            fpnma   f74 = f74, c2, f58
684            ;;
685            // (f58, f78) = (f54, f78) $ (c3, c4), (line 4, 5)
686            fpma    f58 = f78, c3, f54
687            fpnma   f78 = f78, c4, f54
688            ;;
689            // (f54, f70) = (f62, f70) $ (c5, c6), (line 6, 7)
690            fpma    f54 = f70, c5, f62
691            fpnma   f70 = f70, c6, f62
692            ;;
693            ;;
694            // (f62, f74) = (f49, f74) $ (c7, c7), (line 0, 3)
695            fpma    f62 = f74, c7, f49
696            fpnma   f74 = f74, c7, f49
697            ;;
698            // (f49, f50) = (f66, f50) $ (c8, c8), (line 1, 2)
699            fpma    f49 = f50, c8, f66
700            fpnma   f50 = f50, c8, f66
701            ;;
702            // (f66, f54) = (f58, f54) $ (c9, c9), (line 4, 6)
703            fpma    f66 = f54, c9, f58
704            fpnma   f54 = f54, c9, f58
705            ;;
706            // (f58, f70) = (f78, f70) $ (c10, c10), (line 5, 7)
707            fpma    f58 = f70, c10, f78
708            fpnma   f70 = f70, c10, f78
709            ;;
710            ;;
711            // (f78, f54) = (f58, f54) $ (c11, c11), (line 5, 6)
712            fpma    f78 = f54, c11, f58
713            fpnma   f54 = f54, c11, f58
714            ;;
715            // (f58, f66) = (f62, f66) $ (c12, c12), (line 0, 4)
716            fpma    f58 = f66, c12, f62
717            fpnma   f66 = f66, c12, f62
718            ;;
719            // (f62, f70) = (f74, f70) $ (c14, c14), (line 3, 7)
720            fpma    f62 = f70, c14, f74
721            fpnma   f70 = f70, c14, f74
722            ;;
723            ;;
724            // (f74, f78) = (f49, f78) $ (c13, c13), (line 1, 5)
725            fpma    f74 = f78, c13, f49
726            fpnma   f78 = f78, c13, f49
727            ;;
728            // (f49, f54) = (f50, f54) $ (c13, c13), (line 2, 6)
729            fpma    f49 = f54, c13, f50
730            fpnma   f54 = f54, c13, f50
731            ;;
732    
733            // before post shuffle
734            //  56 57 58 51
735            //  72 73 74 55
736            //  52 53 49 59
737            //  60 61 62 63
738            //  68 69 66 67
739            //  80 48 78 71
740            //  76 77 54 75
741            //  64 65 70 79
742    
743            // after post shuffle
744            //  56 57 58 51
745            //  72 73 74 55
746            //  52 53 54 59
747            //  60 61 62 63
748            //  68 69 70 67
749            //  80 48 49 71
750            //  76 77 78 75
751            //  64 65 66 79
752    
753            // before pre shuffle
754            //  56 57 58 51
755            //  72 73 74 55
756            //  52 53 54 59
757            //  60 61 62 63
758            //  68 69 70 67
759            //  80 48 49 71
760            //  76 77 78 75
761            //  64 65 66 79
762    
763            // after pre shuffle
764            //  56 57 58 51
765            //  72 73 74 67
766            //  52 53 54 59
767            //  60 61 62 75
768            //  68 69 70 55
769            //  80 48 49 79
770            //  76 77 78 63
771            //  64 65 66 71
772            // (f50, f67) = (f51, f67) $ (c0, c0), (line 0, 1)
773            fpma    f50 = f67, c0, f51
774            fpnma   f67 = f67, c0, f51
775            ;;
776            // (f51, f75) = (f59, f75) $ (c1, c2), (line 2, 3)
777            fpma    f51 = f75, c1, f59
778            fpnma   f75 = f75, c2, f59
779            ;;
780            // (f59, f79) = (f55, f79) $ (c3, c4), (line 4, 5)
781            fpma    f59 = f79, c3, f55
782            fpnma   f79 = f79, c4, f55
783            ;;
784            // (f55, f71) = (f63, f71) $ (c5, c6), (line 6, 7)
785            fpma    f55 = f71, c5, f63
786            fpnma   f71 = f71, c6, f63
787            ;;
788            ;;
789            // (f63, f75) = (f50, f75) $ (c7, c7), (line 0, 3)
790            fpma    f63 = f75, c7, f50
791            fpnma   f75 = f75, c7, f50
792            ;;
793            // (f50, f51) = (f67, f51) $ (c8, c8), (line 1, 2)
794            fpma    f50 = f51, c8, f67
795            fpnma   f51 = f51, c8, f67
796            ;;
797            // (f67, f55) = (f59, f55) $ (c9, c9), (line 4, 6)
798            fpma    f67 = f55, c9, f59
799            fpnma   f55 = f55, c9, f59
800            ;;
801            // (f59, f71) = (f79, f71) $ (c10, c10), (line 5, 7)
802            fpma    f59 = f71, c10, f79
803            fpnma   f71 = f71, c10, f79
804            ;;
805            ;;
806            // (f79, f55) = (f59, f55) $ (c11, c11), (line 5, 6)
807            fpma    f79 = f55, c11, f59
808            fpnma   f55 = f55, c11, f59
809            ;;
810            // (f59, f67) = (f63, f67) $ (c12, c12), (line 0, 4)
811            fpma    f59 = f67, c12, f63
812            fpnma   f67 = f67, c12, f63
813            ;;
814            // (f63, f71) = (f75, f71) $ (c14, c14), (line 3, 7)
815            fpma    f63 = f71, c14, f75
816            fpnma   f71 = f71, c14, f75
817            ;;
818            ;;
819            // (f75, f79) = (f50, f79) $ (c13, c13), (line 1, 5)
820            fpma    f75 = f79, c13, f50
821            fpnma   f79 = f79, c13, f50
822            ;;
823            // (f50, f55) = (f51, f55) $ (c13, c13), (line 2, 6)
824            fpma    f50 = f55, c13, f51
825            fpnma   f55 = f55, c13, f51
826            ;;
827    
828            // before post shuffle
829            //  56 57 58 59
830            //  72 73 74 75
831            //  52 53 54 50
832            //  60 61 62 63
833            //  68 69 70 67
834            //  80 48 49 79
835            //  76 77 78 55
836            //  64 65 66 71
837    
838            // after post shuffle
839            //  56 57 58 59
840            //  72 73 74 75
841            //  52 53 54 55
842            //  60 61 62 63
843            //  68 69 70 71
844            //  80 48 49 50
845            //  76 77 78 79
846            //  64 65 66 67
847            ;;
848            fmix.r  f51 = f56, f72
849            fmix.r  f81 = f57, f73
850            fmix.r  f82 = f58, f74
851            fmix.r  f83 = f59, f75
852            fmix.r  f84 = f52, f60
853            fmix.r  f85 = f53, f61
854            fmix.r  f86 = f54, f62
855            fmix.r  f87 = f55, f63
856            fmix.r  f88 = f68, f80
857            fmix.r  f89 = f69, f48
858            fmix.r  f90 = f70, f49
859            fmix.r  f91 = f71, f50
860            fmix.r  f92 = f76, f64
861            fmix.r  f93 = f77, f65
862            fmix.r  f94 = f78, f66
863            fmix.r  f95 = f79, f67
864            ;;
865            fmix.l  f56 = f56, f72
866            fmix.l  f57 = f57, f73
867            fmix.l  f58 = f58, f74
868            fmix.l  f59 = f59, f75
869            fmix.l  f52 = f52, f60
870            fmix.l  f53 = f53, f61
871            fmix.l  f54 = f54, f62
872            fmix.l  f55 = f55, f63
873            fmix.l  f68 = f68, f80
874            fmix.l  f69 = f69, f48
875            fmix.l  f70 = f70, f49
876            fmix.l  f71 = f71, f50
877            fmix.l  f76 = f76, f64
878            fmix.l  f77 = f77, f65
879            fmix.l  f78 = f78, f66
880            fmix.l  f79 = f79, f67
881            ;;
882            fpma    f56 = f56, c0, f0
883            fpma    f52 = f52, c0, f0
884            fpma    f68 = f68, c0, f0
885            fpma    f76 = f76, c0, f0
886            ;;
887    
888            // before pre shuffle
889            //  56 52 68 76
890            //  51 84 88 92
891            //  57 53 69 77
892            //  81 85 89 93
893            //  58 54 70 78
894            //  82 86 90 94
895            //  59 55 71 79
896            //  83 87 91 95
897    
898            // after pre shuffle
899            //  56 52 68 76
900            //  58 84 88 92
901            //  57 53 69 77
902            //  59 85 89 93
903            //  51 54 70 78
904            //  83 86 90 94
905            //  81 55 71 79
906            //  82 87 91 95
907            // (f48, f58) = (f56, f58) $ (c0, c0), (line 0, 1)
908            fpma    f48 = f58, c0, f56
909            fpnma   f58 = f58, c0, f56
910            ;;
911            // (f49, f59) = (f57, f59) $ (c1, c2), (line 2, 3)
912            fpma    f49 = f59, c1, f57
913            fpnma   f59 = f59, c2, f57
914            ;;
915            // (f50, f83) = (f51, f83) $ (c3, c4), (line 4, 5)
916            fpma    f50 = f83, c3, f51
917            fpnma   f83 = f83, c4, f51
918            ;;
919            // (f51, f82) = (f81, f82) $ (c5, c6), (line 6, 7)
920            fpma    f51 = f82, c5, f81
921            fpnma   f82 = f82, c6, f81
922            ;;
923            ;;
924            // (f56, f59) = (f48, f59) $ (c7, c7), (line 0, 3)
925            fpma    f56 = f59, c7, f48
926            fpnma   f59 = f59, c7, f48
927            ;;
928            // (f48, f49) = (f58, f49) $ (c8, c8), (line 1, 2)
929            fpma    f48 = f49, c8, f58
930            fpnma   f49 = f49, c8, f58
931            ;;
932            // (f57, f51) = (f50, f51) $ (c9, c9), (line 4, 6)
933            fpma    f57 = f51, c9, f50
934            fpnma   f51 = f51, c9, f50
935            ;;
936            // (f50, f82) = (f83, f82) $ (c10, c10), (line 5, 7)
937            fpma    f50 = f82, c10, f83
938            fpnma   f82 = f82, c10, f83
939            ;;
940            ;;
941            // (f58, f51) = (f50, f51) $ (c11, c11), (line 5, 6)
942            fpma    f58 = f51, c11, f50
943            fpnma   f51 = f51, c11, f50
944            ;;
945            // (f50, f57) = (f56, f57) $ (c12, c12), (line 0, 4)
946            fpma    f50 = f57, c12, f56
947            fpnma   f57 = f57, c12, f56
948            ;;
949            // (f56, f82) = (f59, f82) $ (c14, c14), (line 3, 7)
950            fpma    f56 = f82, c14, f59
951            fpnma   f82 = f82, c14, f59
952            ;;
953            ;;
954            // (f59, f58) = (f48, f58) $ (c13, c13), (line 1, 5)
955            fpma    f59 = f58, c13, f48
956            fpnma   f58 = f58, c13, f48
957            ;;
958            // (f48, f51) = (f49, f51) $ (c13, c13), (line 2, 6)
959            fpma    f48 = f51, c13, f49
960            fpnma   f51 = f51, c13, f49
961            ;;
962    
963            // before post shuffle
964            //  50 52 68 76
965            //  59 84 88 92
966            //  48 53 69 77
967            //  56 85 89 93
968            //  57 54 70 78
969            //  58 86 90 94
970            //  51 55 71 79
971            //  82 87 91 95
972    
973            // after post shuffle
974            //  50 52 68 76
975            //  59 84 88 92
976            //  51 53 69 77
977            //  56 85 89 93
978            //  82 54 70 78
979            //  48 86 90 94
980            //  58 55 71 79
981            //  57 87 91 95
982    
983            // before pre shuffle
984            //  50 52 68 76
985            //  59 84 88 92
986            //  51 53 69 77
987            //  56 85 89 93
988            //  82 54 70 78
989            //  48 86 90 94
990            //  58 55 71 79
991            //  57 87 91 95
992    
993            // after pre shuffle
994            //  50 52 68 76
995            //  59 54 88 92
996            //  51 53 69 77
997            //  56 55 89 93
998            //  82 84 70 78
999            //  48 87 90 94
1000            //  58 85 71 79
1001            //  57 86 91 95
1002            // (f49, f54) = (f52, f54) $ (c0, c0), (line 0, 1)
1003            fpma    f49 = f54, c0, f52
1004            fpnma   f54 = f54, c0, f52
1005            ;;
1006            // (f52, f55) = (f53, f55) $ (c1, c2), (line 2, 3)
1007            fpma    f52 = f55, c1, f53
1008            fpnma   f55 = f55, c2, f53
1009            ;;
1010            // (f53, f87) = (f84, f87) $ (c3, c4), (line 4, 5)
1011            fpma    f53 = f87, c3, f84
1012            fpnma   f87 = f87, c4, f84
1013            ;;
1014            // (f60, f86) = (f85, f86) $ (c5, c6), (line 6, 7)
1015            fpma    f60 = f86, c5, f85
1016            fpnma   f86 = f86, c6, f85
1017            ;;
1018            ;;
1019            // (f61, f55) = (f49, f55) $ (c7, c7), (line 0, 3)
1020            fpma    f61 = f55, c7, f49
1021            fpnma   f55 = f55, c7, f49
1022            ;;
1023            // (f49, f52) = (f54, f52) $ (c8, c8), (line 1, 2)
1024            fpma    f49 = f52, c8, f54
1025            fpnma   f52 = f52, c8, f54
1026            ;;
1027            // (f54, f60) = (f53, f60) $ (c9, c9), (line 4, 6)
1028            fpma    f54 = f60, c9, f53
1029            fpnma   f60 = f60, c9, f53
1030            ;;
1031            // (f53, f86) = (f87, f86) $ (c10, c10), (line 5, 7)
1032            fpma    f53 = f86, c10, f87
1033            fpnma   f86 = f86, c10, f87
1034            ;;
1035            ;;
1036            // (f62, f60) = (f53, f60) $ (c11, c11), (line 5, 6)
1037            fpma    f62 = f60, c11, f53
1038            fpnma   f60 = f60, c11, f53
1039            ;;
1040            // (f53, f54) = (f61, f54) $ (c12, c12), (line 0, 4)
1041            fpma    f53 = f54, c12, f61
1042            fpnma   f54 = f54, c12, f61
1043            ;;
1044            // (f61, f86) = (f55, f86) $ (c14, c14), (line 3, 7)
1045            fpma    f61 = f86, c14, f55
1046            fpnma   f86 = f86, c14, f55
1047            ;;
1048            ;;
1049            // (f55, f62) = (f49, f62) $ (c13, c13), (line 1, 5)
1050            fpma    f55 = f62, c13, f49
1051            fpnma   f62 = f62, c13, f49
1052            ;;
1053            // (f49, f60) = (f52, f60) $ (c13, c13), (line 2, 6)
1054            fpma    f49 = f60, c13, f52
1055            fpnma   f60 = f60, c13, f52
1056            ;;
1057    
1058            // before post shuffle
1059            //  50 53 68 76
1060            //  59 55 88 92
1061            //  51 49 69 77
1062            //  56 61 89 93
1063            //  82 54 70 78
1064            //  48 62 90 94
1065            //  58 60 71 79
1066            //  57 86 91 95
1067    
1068            // after post shuffle
1069            //  50 53 68 76
1070            //  59 55 88 92
1071            //  51 60 69 77
1072            //  56 61 89 93
1073            //  82 86 70 78
1074            //  48 49 90 94
1075            //  58 62 71 79
1076            //  57 54 91 95
1077    
1078            // before pre shuffle
1079            //  50 53 68 76
1080            //  59 55 88 92
1081            //  51 60 69 77
1082            //  56 61 89 93
1083            //  82 86 70 78
1084            //  48 49 90 94
1085            //  58 62 71 79
1086            //  57 54 91 95
1087    
1088            // after pre shuffle
1089            //  50 53 68 76
1090            //  59 55 70 92
1091            //  51 60 69 77
1092            //  56 61 71 93
1093            //  82 86 88 78
1094            //  48 49 91 94
1095            //  58 62 89 79
1096            //  57 54 90 95
1097            // (f52, f70) = (f68, f70) $ (c0, c0), (line 0, 1)
1098            fpma    f52 = f70, c0, f68
1099            fpnma   f70 = f70, c0, f68
1100            ;;
1101            // (f63, f71) = (f69, f71) $ (c1, c2), (line 2, 3)
1102            fpma    f63 = f71, c1, f69
1103            fpnma   f71 = f71, c2, f69
1104            ;;
1105            // (f64, f91) = (f88, f91) $ (c3, c4), (line 4, 5)
1106            fpma    f64 = f91, c3, f88
1107            fpnma   f91 = f91, c4, f88
1108            ;;
1109            // (f65, f90) = (f89, f90) $ (c5, c6), (line 6, 7)
1110            fpma    f65 = f90, c5, f89
1111            fpnma   f90 = f90, c6, f89
1112            ;;
1113            ;;
1114            // (f66, f71) = (f52, f71) $ (c7, c7), (line 0, 3)
1115            fpma    f66 = f71, c7, f52
1116            fpnma   f71 = f71, c7, f52
1117            ;;
1118            // (f52, f63) = (f70, f63) $ (c8, c8), (line 1, 2)
1119            fpma    f52 = f63, c8, f70
1120            fpnma   f63 = f63, c8, f70
1121            ;;
1122            // (f67, f65) = (f64, f65) $ (c9, c9), (line 4, 6)
1123            fpma    f67 = f65, c9, f64
1124            fpnma   f65 = f65, c9, f64
1125            ;;
1126            // (f64, f90) = (f91, f90) $ (c10, c10), (line 5, 7)
1127            fpma    f64 = f90, c10, f91
1128            fpnma   f90 = f90, c10, f91
1129            ;;
1130            ;;
1131            // (f68, f65) = (f64, f65) $ (c11, c11), (line 5, 6)
1132            fpma    f68 = f65, c11, f64
1133            fpnma   f65 = f65, c11, f64
1134            ;;
1135            // (f64, f67) = (f66, f67) $ (c12, c12), (line 0, 4)
1136            fpma    f64 = f67, c12, f66
1137            fpnma   f67 = f67, c12, f66
1138            ;;
1139            // (f66, f90) = (f71, f90) $ (c14, c14), (line 3, 7)
1140            fpma    f66 = f90, c14, f71
1141            fpnma   f90 = f90, c14, f71
1142            ;;
1143            ;;
1144            // (f69, f68) = (f52, f68) $ (c13, c13), (line 1, 5)
1145            fpma    f69 = f68, c13, f52
1146            fpnma   f68 = f68, c13, f52
1147            ;;
1148            // (f52, f65) = (f63, f65) $ (c13, c13), (line 2, 6)
1149            fpma    f52 = f65, c13, f63
1150            fpnma   f65 = f65, c13, f63
1151            ;;
1152    
1153            // before post shuffle
1154            //  50 53 64 76
1155            //  59 55 69 92
1156            //  51 60 52 77
1157            //  56 61 66 93
1158            //  82 86 67 78
1159            //  48 49 68 94
1160            //  58 62 65 79
1161            //  57 54 90 95
1162    
1163            // after post shuffle
1164            //  50 53 64 76
1165            //  59 55 69 92
1166            //  51 60 65 77
1167            //  56 61 66 93
1168            //  82 86 90 78
1169            //  48 49 52 94
1170            //  58 62 68 79
1171            //  57 54 67 95
1172    
1173            // before pre shuffle
1174            //  50 53 64 76
1175            //  59 55 69 92
1176            //  51 60 65 77
1177            //  56 61 66 93
1178            //  82 86 90 78
1179            //  48 49 52 94
1180            //  58 62 68 79
1181            //  57 54 67 95
1182    
1183            // after pre shuffle
1184            //  50 53 64 76
1185            //  59 55 69 78
1186            //  51 60 65 77
1187            //  56 61 66 79
1188            //  82 86 90 92
1189            //  48 49 52 95
1190            //  58 62 68 93
1191            //  57 54 67 94
1192            // (f63, f78) = (f76, f78) $ (c0, c0), (line 0, 1)
1193            fpma    f63 = f78, c0, f76
1194            fpnma   f78 = f78, c0, f76
1195            ;;
1196            // (f70, f79) = (f77, f79) $ (c1, c2), (line 2, 3)
1197            fpma    f70 = f79, c1, f77
1198            fpnma   f79 = f79, c2, f77
1199            ;;
1200            // (f71, f95) = (f92, f95) $ (c3, c4), (line 4, 5)
1201            fpma    f71 = f95, c3, f92
1202            fpnma   f95 = f95, c4, f92
1203            ;;
1204            // (f72, f94) = (f93, f94) $ (c5, c6), (line 6, 7)
1205            fpma    f72 = f94, c5, f93
1206            fpnma   f94 = f94, c6, f93
1207            ;;
1208            ;;
1209            // (f73, f79) = (f63, f79) $ (c7, c7), (line 0, 3)
1210            fpma    f73 = f79, c7, f63
1211            fpnma   f79 = f79, c7, f63
1212            ;;
1213            // (f63, f70) = (f78, f70) $ (c8, c8), (line 1, 2)
1214            fpma    f63 = f70, c8, f78
1215            fpnma   f70 = f70, c8, f78
1216            ;;
1217            // (f74, f72) = (f71, f72) $ (c9, c9), (line 4, 6)
1218            fpma    f74 = f72, c9, f71
1219            fpnma   f72 = f72, c9, f71
1220            ;;
1221            // (f71, f94) = (f95, f94) $ (c10, c10), (line 5, 7)
1222            fpma    f71 = f94, c10, f95
1223            fpnma   f94 = f94, c10, f95
1224            ;;
1225            ;;
1226            // (f75, f72) = (f71, f72) $ (c11, c11), (line 5, 6)
1227            fpma    f75 = f72, c11, f71
1228            fpnma   f72 = f72, c11, f71
1229            ;;
1230            // (f71, f74) = (f73, f74) $ (c12, c12), (line 0, 4)
1231            fpma    f71 = f74, c12, f73
1232            fpnma   f74 = f74, c12, f73
1233            ;;
1234            // (f73, f94) = (f79, f94) $ (c14, c14), (line 3, 7)
1235            fpma    f73 = f94, c14, f79
1236            fpnma   f94 = f94, c14, f79
1237            ;;
1238            ;;
1239            // (f76, f75) = (f63, f75) $ (c13, c13), (line 1, 5)
1240            fpma    f76 = f75, c13, f63
1241            fpnma   f75 = f75, c13, f63
1242            ;;
1243            // (f63, f72) = (f70, f72) $ (c13, c13), (line 2, 6)
1244            fpma    f63 = f72, c13, f70
1245            fpnma   f72 = f72, c13, f70
1246            ;;
1247    
1248            // before post shuffle
1249            //  50 53 64 71
1250            //  59 55 69 76
1251            //  51 60 65 63
1252            //  56 61 66 73
1253            //  82 86 90 74
1254            //  48 49 52 75
1255            //  58 62 68 72
1256            //  57 54 67 94
1257    
1258            // after post shuffle
1259            //  50 53 64 71
1260            //  59 55 69 76
1261            //  51 60 65 72
1262            //  56 61 66 73
1263            //  82 86 90 94
1264            //  48 49 52 63
1265            //  58 62 68 75
1266            //  57 54 67 74
1267            ;;
1268            fmix.r  f70 = f50, f59
1269            fmix.r  f77 = f53, f55
1270            fmix.r  f78 = f64, f69
1271            fmix.r  f79 = f71, f76
1272            fmix.r  f80 = f51, f56
1273            fmix.r  f81 = f60, f61
1274            fmix.r  f83 = f65, f66
1275            fmix.r  f84 = f72, f73
1276            fmix.r  f85 = f82, f48
1277            fmix.r  f87 = f86, f49
1278            fmix.r  f88 = f90, f52
1279            fmix.r  f89 = f94, f63
1280            fmix.r  f91 = f58, f57
1281            fmix.r  f92 = f62, f54
1282            fmix.r  f93 = f68, f67
1283            fmix.r  f95 = f75, f74
1284            ;;
1285            fmix.l  f50 = f50, f59
1286            fmix.l  f53 = f53, f55
1287            fmix.l  f64 = f64, f69
1288            fmix.l  f71 = f71, f76
1289            fmix.l  f51 = f51, f56
1290            fmix.l  f60 = f60, f61
1291            fmix.l  f65 = f65, f66
1292            fmix.l  f72 = f72, f73
1293            fmix.l  f82 = f82, f48
1294            fmix.l  f86 = f86, f49
1295            fmix.l  f90 = f90, f52
1296            fmix.l  f94 = f94, f63
1297            fmix.l  f58 = f58, f57
1298            fmix.l  f62 = f62, f54
1299            fmix.l  f68 = f68, f67
1300            fmix.l  f75 = f75, f74
1301            ;;
1302            //  50 51 82 58
1303            //  70 80 85 91
1304            //  53 60 86 62
1305            //  77 81 87 92
1306            //  64 65 90 68
1307            //  78 83 88 93
1308            //  71 72 94 75
1309            //  79 84 89 95
1310            mov   addreg1 = in0
1311            add   addreg2 = 4, in0
1312            ;;
1313            fpcvt.fx f50 = f50
1314            fpcvt.fx f51 = f51
1315            fpcvt.fx f82 = f82
1316            fpcvt.fx f58 = f58
1317            fpcvt.fx f70 = f70
1318            fpcvt.fx f80 = f80
1319            fpcvt.fx f85 = f85
1320            fpcvt.fx f91 = f91
1321            fpcvt.fx f53 = f53
1322            fpcvt.fx f60 = f60
1323            fpcvt.fx f86 = f86
1324            fpcvt.fx f62 = f62
1325            fpcvt.fx f77 = f77
1326            fpcvt.fx f81 = f81
1327            fpcvt.fx f87 = f87
1328            fpcvt.fx f92 = f92
1329            fpcvt.fx f64 = f64
1330            fpcvt.fx f65 = f65
1331            fpcvt.fx f90 = f90
1332            fpcvt.fx f68 = f68
1333            fpcvt.fx f78 = f78
1334            fpcvt.fx f83 = f83
1335            fpcvt.fx f88 = f88
1336            fpcvt.fx f93 = f93
1337            fpcvt.fx f71 = f71
1338            fpcvt.fx f72 = f72
1339            fpcvt.fx f94 = f94
1340            fpcvt.fx f75 = f75
1341            fpcvt.fx f79 = f79
1342            fpcvt.fx f84 = f84
1343            fpcvt.fx f89 = f89
1344            fpcvt.fx f95 = f95
1345            ;;
1346            getf.sig r33 = f50
1347            getf.sig r34 = f51
1348            getf.sig r35 = f82
1349            getf.sig r36 = f58
1350            getf.sig r37 = f70
1351            getf.sig r38 = f80
1352            getf.sig r39 = f85
1353            getf.sig r40 = f91
1354            getf.sig r41 = f53
1355            getf.sig r42 = f60
1356            getf.sig r43 = f86
1357            getf.sig r44 = f62
1358            getf.sig r45 = f77
1359            getf.sig r46 = f81
1360            getf.sig r47 = f87
1361            getf.sig r48 = f92
1362            getf.sig r49 = f64
1363            getf.sig r50 = f65
1364            getf.sig r51 = f90
1365            getf.sig r52 = f68
1366            getf.sig r53 = f78
1367            getf.sig r54 = f83
1368            getf.sig r55 = f88
1369            getf.sig r56 = f93
1370            getf.sig r57 = f71
1371            getf.sig r58 = f72
1372            getf.sig r59 = f94
1373            getf.sig r60 = f75
1374            getf.sig r61 = f79
1375            getf.sig r62 = f84
1376            getf.sig r63 = f89
1377            getf.sig r64 = f95
1378            ;;
1379            shl      r33 = r33, 7
1380            shl      r34 = r34, 7
1381            shl      r35 = r35, 7
1382            shl      r36 = r36, 7
1383            shl      r37 = r37, 7
1384            shl      r38 = r38, 7
1385            shl      r39 = r39, 7
1386            shl      r40 = r40, 7
1387            shl      r41 = r41, 7
1388            shl      r42 = r42, 7
1389            shl      r43 = r43, 7
1390            shl      r44 = r44, 7
1391            shl      r45 = r45, 7
1392            shl      r46 = r46, 7
1393            shl      r47 = r47, 7
1394            shl      r48 = r48, 7
1395            shl      r49 = r49, 7
1396            shl      r50 = r50, 7
1397            shl      r51 = r51, 7
1398            shl      r52 = r52, 7
1399            shl      r53 = r53, 7
1400            shl      r54 = r54, 7
1401            shl      r55 = r55, 7
1402            shl      r56 = r56, 7
1403            shl      r57 = r57, 7
1404            shl      r58 = r58, 7
1405            shl      r59 = r59, 7
1406            shl      r60 = r60, 7
1407            shl      r61 = r61, 7
1408            shl      r62 = r62, 7
1409            shl      r63 = r63, 7
1410            shl      r64 = r64, 7
1411            ;;
1412            pack4.sss r33 = r33, r0
1413            pack4.sss r34 = r34, r0
1414            pack4.sss r35 = r35, r0
1415            pack4.sss r36 = r36, r0
1416            pack4.sss r37 = r37, r0
1417            pack4.sss r38 = r38, r0
1418            pack4.sss r39 = r39, r0
1419            pack4.sss r40 = r40, r0
1420            pack4.sss r41 = r41, r0
1421            pack4.sss r42 = r42, r0
1422            pack4.sss r43 = r43, r0
1423            pack4.sss r44 = r44, r0
1424            pack4.sss r45 = r45, r0
1425            pack4.sss r46 = r46, r0
1426            pack4.sss r47 = r47, r0
1427            pack4.sss r48 = r48, r0
1428            pack4.sss r49 = r49, r0
1429            pack4.sss r50 = r50, r0
1430            pack4.sss r51 = r51, r0
1431            pack4.sss r52 = r52, r0
1432            pack4.sss r53 = r53, r0
1433            pack4.sss r54 = r54, r0
1434            pack4.sss r55 = r55, r0
1435            pack4.sss r56 = r56, r0
1436            pack4.sss r57 = r57, r0
1437            pack4.sss r58 = r58, r0
1438            pack4.sss r59 = r59, r0
1439            pack4.sss r60 = r60, r0
1440            pack4.sss r61 = r61, r0
1441            pack4.sss r62 = r62, r0
1442            pack4.sss r63 = r63, r0
1443            pack4.sss r64 = r64, r0
1444            ;;
1445            pshr2    r33 = r33, 7
1446            pshr2    r34 = r34, 7
1447            pshr2    r35 = r35, 7
1448            pshr2    r36 = r36, 7
1449            pshr2    r37 = r37, 7
1450            pshr2    r38 = r38, 7
1451            pshr2    r39 = r39, 7
1452            pshr2    r40 = r40, 7
1453            pshr2    r41 = r41, 7
1454            pshr2    r42 = r42, 7
1455            pshr2    r43 = r43, 7
1456            pshr2    r44 = r44, 7
1457            pshr2    r45 = r45, 7
1458            pshr2    r46 = r46, 7
1459            pshr2    r47 = r47, 7
1460            pshr2    r48 = r48, 7
1461            pshr2    r49 = r49, 7
1462            pshr2    r50 = r50, 7
1463            pshr2    r51 = r51, 7
1464            pshr2    r52 = r52, 7
1465            pshr2    r53 = r53, 7
1466            pshr2    r54 = r54, 7
1467            pshr2    r55 = r55, 7
1468            pshr2    r56 = r56, 7
1469            pshr2    r57 = r57, 7
1470            pshr2    r58 = r58, 7
1471            pshr2    r59 = r59, 7
1472            pshr2    r60 = r60, 7
1473            pshr2    r61 = r61, 7
1474            pshr2    r62 = r62, 7
1475            pshr2    r63 = r63, 7
1476            pshr2    r64 = r64, 7
1477            ;;
1478            mux2     r33 = r33, 0xe1
1479            mux2     r34 = r34, 0xe1
1480            mux2     r35 = r35, 0xe1
1481            mux2     r36 = r36, 0xe1
1482            mux2     r37 = r37, 0xe1
1483            mux2     r38 = r38, 0xe1
1484            mux2     r39 = r39, 0xe1
1485            mux2     r40 = r40, 0xe1
1486            mux2     r41 = r41, 0xe1
1487            mux2     r42 = r42, 0xe1
1488            mux2     r43 = r43, 0xe1
1489            mux2     r44 = r44, 0xe1
1490            mux2     r45 = r45, 0xe1
1491            mux2     r46 = r46, 0xe1
1492            mux2     r47 = r47, 0xe1
1493            mux2     r48 = r48, 0xe1
1494            mux2     r49 = r49, 0xe1
1495            mux2     r50 = r50, 0xe1
1496            mux2     r51 = r51, 0xe1
1497            mux2     r52 = r52, 0xe1
1498            mux2     r53 = r53, 0xe1
1499            mux2     r54 = r54, 0xe1
1500            mux2     r55 = r55, 0xe1
1501            mux2     r56 = r56, 0xe1
1502            mux2     r57 = r57, 0xe1
1503            mux2     r58 = r58, 0xe1
1504            mux2     r59 = r59, 0xe1
1505            mux2     r60 = r60, 0xe1
1506            mux2     r61 = r61, 0xe1
1507            mux2     r62 = r62, 0xe1
1508            mux2     r63 = r63, 0xe1
1509            mux2     r64 = r64, 0xe1
1510            ;;
1511            st4   [addreg1] = r33, 8
1512            st4   [addreg2] = r34, 8
1513            ;;
1514            st4   [addreg1] = r35, 8
1515            st4   [addreg2] = r36, 8
1516            ;;
1517            st4   [addreg1] = r37, 8
1518            st4   [addreg2] = r38, 8
1519            ;;
1520            st4   [addreg1] = r39, 8
1521            st4   [addreg2] = r40, 8
1522            ;;
1523            st4   [addreg1] = r41, 8
1524            st4   [addreg2] = r42, 8
1525            ;;
1526            st4   [addreg1] = r43, 8
1527            st4   [addreg2] = r44, 8
1528            ;;
1529            st4   [addreg1] = r45, 8
1530            st4   [addreg2] = r46, 8
1531            ;;
1532            st4   [addreg1] = r47, 8
1533            st4   [addreg2] = r48, 8
1534            ;;
1535            st4   [addreg1] = r49, 8
1536            st4   [addreg2] = r50, 8
1537            ;;
1538            st4   [addreg1] = r51, 8
1539            st4   [addreg2] = r52, 8
1540            ;;
1541            st4   [addreg1] = r53, 8
1542            st4   [addreg2] = r54, 8
1543            ;;
1544            st4   [addreg1] = r55, 8
1545            st4   [addreg2] = r56, 8
1546            ;;
1547            st4   [addreg1] = r57, 8
1548            st4   [addreg2] = r58, 8
1549            ;;
1550            st4   [addreg1] = r59, 8
1551            st4   [addreg2] = r60, 8
1552            ;;
1553            st4   [addreg1] = r61, 8
1554            st4   [addreg2] = r62, 8
1555            ;;
1556            st4   [addreg1] = r63, 8
1557            st4   [addreg2] = r64, 8
1558            ;;
1559    
1560            mov     ar.pfs = r16
1561            br.ret.sptk.few b0
1562    
1563    .endp

Legend:
Removed from v.205  
changed lines
  Added in v.282

No admin address has been configured
ViewVC Help
Powered by ViewVC 1.0.4